EDA原理及应用

当前位置:首页 > 教材 > 研究生/本专科 > EDA原理及应用

出版社:清华大学出版社
出版日期:2009-6
ISBN:9787302200215
作者:何宾
页数:275页

章节摘录

插图:第1章 EDA设计导论本章主要介绍EDA技术综述、PLD设计方法学、HDL硬件描述语言。在EDA技术综述部分重点介绍EDA技术发展历史、EDA技术含义、EDA技术主要内容;在PLD设计方法学部分,介绍PLD设计概论、PLD设计流程、SOPC设计流程;在HDL硬件描述语言部分,介绍HDL硬件描述语言概念、HDL语言特点和比较、HDL语言最新发展。这章主要目的是让读者通过对本章内容的学习对EDA技术有一个初步了解,为学习后续章节的内容打下良好的基础。1.1 EDA技术综述1.1.1 EDA技术发展历史EDA技术伴随着计算机、集成电路、电子系统设计的发展,经历了计算机辅助设计(Computer Assist Design,CAD),计算机辅助工程设计(Computer Assist Engiheering,CAE)和电子设计自动化(Electronic:Design Automation,EDA)三个发展阶段。1.计算机辅助设计阶段早期的电子系统硬件设计采用的是分立元件,随着集成电路的出现和应用,硬件设计进入到发展的初级阶段。初级阶段的硬件设计大量选用中小规模标准集成电路,人们将这些器件焊接在电路板上,做成初级电子系统,对电子系统的调试是在组装好的PCB(Printed Circuit Board)板上进行的。

前言

随着半导体技术的飞速发展,新电子产品上市周期的缩短,以及数字化处理技术的不断提高,大规模可编程逻辑器件(PLD)的设计成为电子系统设计中一个重要的研究方向和应用领域。在现阶段,必须依赖于高性能电子设计自动化EDA技术,才能完成基于PLD复杂数字系统的设计。采用PLD比采用专用集成电路ASIC和专用标准部件ADDP的成本低。通过使用EDA技术完成PLD设计,大大缩短了设计周期,适应市场对产品竞争力的要求。随着PLD复杂度的提高和EDA软件性能的不断完善,基于EDA的设计原理和方法,越来越受到EDA设计人员的重视。基于硬件描述语言HDL、原理图、IP核等混合设计方法成为PLD设计中主要采用的方法。对PLD的设计已经发展到了片上可编程系统SOPC阶段,因此要求EDA设计人员能够实现软件和硬件的协同设计。本书力图全面系统地介绍基于PLD的EDA设计原理和方法。通过系统介绍EDA的原理和方法,使读者能够系统、全面地掌握EDA设计方法和应用技巧。本书主要分为以下几个部分:(1)EDA的设计概论部分。该部分主要是介绍EDA技术的发展历史、EDA技术所涉及的内容、设计流程和HDI。硬件描述语言概要。(2)可编程逻辑器件设计方法部分。该部分主要介绍了可编程逻辑器件的分类,主要工艺,重点介绍了复杂可编程逻辑器件CPLD和现场可编程逻辑阵列FPGA的结构,并进行了比较。本部分也对xilinx芯片的性能和结构进行了比较详细的介绍。

书籍目录

第1章 EDA设计导论  1.1 EDA技术综述  1.1.1 EDA技术发展历史  1.1.2 EDA技术含义  1.1.3 EDA技术主要内容  1.2 PLD设计方法学  1.2.1 PLD设计概论  1.2.2 PLD设计流程  1.2.3 SOPC设计流程  1.3 HDL硬件描述语言  1.3.1 HDL硬件描述语言概念  1.3.2 HDL语言特点和比较  1.3.3 HDL语言最新发展  习题第2章 可编程逻辑器件设计方法  2.1 可编程逻辑器件基础  2.1.1 可编程逻辑器件概述  2.1.2 可编程逻辑器件的发展历史  2.2 PLD芯片制造工艺  2.3 PLD芯片结构  2.3.1 CPLD原理及结构  2.3.2 FPGA原理及结构  2.3.3 CPLD和FPGA比较  2.3.4 PLD选择原则  2.4 Xilinx公司芯片简介  2.4.1 Xilinx CPLD芯片介绍    2.4.2 Xilinx FPGA芯片介绍    2.4.3 Xilinx PROM芯片介绍  习题2第3章 VHDL语言基础  3.1 VHDL程序结构  3.1.1 VHDL程序结构概述  3.1.2 VHDL程序实体  3.1.3 VHDL结构体   3.2 VHDL语言描述风格  3.2.1 结构体行为描述  3.2.2 结构体数据流描述  3.2.3 结构体结构化描述  3.3 设计资源共享  3.3.1 库  3.3.2 包集合  3.3.3 子程序和函数  3.3.4 元件配置  3.4 VHDL语言的文字规则  3.4.1 数字型文字  3.4.2 字符型文字  3.4.3 标识符  3.4.4 下标名及下标段名  3.5 VHDL语言数据对象、类型和属性  3.5.1 VHDL中的数据对象  3.5.2 VHDL中的数据类型  3.5.3 VHDL中的预定义属性  3.6 VHDL语言的操作符  3.7 VHDL的顺序描述语句  3.7.1 对象赋值语句  3.7.2 转向控制语句  3.7.3 断言语句  3.8 VHDL的并发描述语句  3.8.1 进程描述语句   3.8.3 条件信号赋值语句  3.8.4 选择信号赋值语句  3.8.5 并行过程调用语句  3.8.6 块语句  3.9 VHDL元件声明及例化语句  3.9.1 层次化设计  3.9.2 元件声明  3.9.3 元件例化  3.9.4 生成语句  3.10 VHDL的文件操作  习题第4章 数字逻辑单元设计第5章 VHDL高级设计技术第6章 基于HDL的设计输入第7章 基于原理图的设计输入第8章 设计综合和行为仿真第9章 设计实现和时序仿真第10章 设计下载和调试第11章 数字时钟设计及实现第12章 通用异步接收发送器设计及实现第13章 数字电压表设计及实现参考文献

编辑推荐

《EDA原理及应用》特色:《EDA原理及应用》系统地介绍了基于PLD的EDA设计原理和方法,内容主要括:EDA设计概论、可编程逻辑器件设计方法、硬件描述语言VHDL、逻辑单元设计、高性能代码设计、IP核设计技术、EDA设计流程,最后给出通用异步接收发送器和数字滤波器的完整设计实例,以帮助学学者全面掌握EDA设计方法和应用技巧。书中结合内容给出大量设计示例程序和习题。《EDA原理及应用》可作为高校信息类专业EDA相关课程的教材,也可作为相关培训课程教学用书,及科研工作者和应用开发人员的的参考用书。为第1章 计算机设计基础理论.1.1 信息与信息处理1.1.1 信息与媒体1.1.2 信息处理与数据1.2 限位记数1.2.1 限位记数的基本概念1.2.2 补码制1.2.3 补码和反码的关系1.2.4 补码制加法的溢出1.2.5 变减法为加法1.3 任意进制数1.3.1 任意进制数概述1.3.2 任意进制数互化1.4 二进制数1.4.1 二进制数的加减法1.4.2 超长二进制数1.4.3 二进制数的基数表示法1.5 信息编码1.5.1 图形文字数值化1.5.2 颜色的数值化1.5.3 模拟信息的数值化1.5.4 ASCII编码1.5.5 汉字库与机内码1.5.6 外码1.6 布尔代数1.6.1 布尔代数的概念1.6.2 布尔代数的基本运算规则1.6.3 异或1.7 逻辑电路1.7.1 二极管和三极管1.7.2 基本逻辑门电路1.8 依据表达式做电路1.8.1 组合电路1.8.2 逻辑电路的画法1.9 真值表与逻辑函数1.9.1 逻辑真值表1.9.2 由真值表求逻辑函数1.9.3 变量无关1.9.4 加法运算的逻辑表示1.9.5 逻辑表达式的化简习题一第2章 逻辑计算机2.1 计算机逻辑结构2.1.1 计算机的基本逻辑结构2.1.2 指令执行的设想2.2 指令执行要件与执行过程2.2.1 程序计数器2.2.2 指令和数据存储器2.2.3 指令分析设备2.2.4 指令执行设备2.2.5 指令执行控制器2.2.6 必要的附属设备2.2.7 指令执行一般过程2.3 部件关联与信息传输2.3.1 并行传输与串行传输2.3.2 同步传输和异步传输2.3.3 同步总线连接结构2.4 计算机的分类2.4.1 通用与专用计算机2.4.2 计算机的规模2.4.3 单处理器计算机与多处理器计算机2.4.4 动态计算机2.4.5 计算机网络习题二第3章 EDA设计工具3.1 建立工程项目3.1.1 启动QuanusII6.03.1.2 建立项目3.2 设计文件3.2.1 原理图设计3.2.2 VerilogHDL语言设计3.3 原理图转换和程序编辑3.4 编译与器件封装3.4.1 局部工程编译3.4.2 封装成器件放人用户库3.5 电路仿真3.5.1 建立仿真文件3.5.2 仿真设置工具3.5.3 功能仿真3.5.4 时序仿真3.6 工程下载验证习题三第4章 常用基本器件设计4.1 寄存器设计4.1.1 寄存器原理图设计4.1.2 寄存器工作原理4.1.3 寄存器的语言描述4.1.4 寄存器仿真4.2 加减法运算器设计4.2.1 加减法单元原理图设计..4.2.2 加减单元的编码4.2.3 多位加减单元的连接4.2.4 多位加减单元连接编程4.2.5 加减法运算器原理图设计4.2.6 加减法运算器程序描述4.2.7 加减法运算器仿真4.3 同步计数器设计I.3.1 设备同步工作4.3.2 程序计数器4.3.3 通用计数器4.4 标志线的设计4.4.1 累加器的标志线设计4.4.2 数据监测标志设计4.5 移位运算器的设计4.5.1 原理图设计4.5.2 移位运算器程序描述4.5.3 移位运算器仿真4.6 乘法运算器的设计4.6.1 乘法手算形式分析4.6.2 乘法阵列原理图设计4.6.3 乘法阵列的编码4.6.4 有符号数乘法运算器4.7 除法运算器设计4.7.1 除法手算的形式分析4.7.2 减法运算器设计4.7.3 除法阵列设计4.7.4 除法行单元电路4.7.5 正数除法电路设计4.7.6 有符号除法运算器设计分析4.7.7 对称区间的除法运算器4.7.8 实用除法运算器要件4.7.9 实用除法运算器整体设计4.8 译码器的设计4.8.1 译码器电路设计4.8.2 译码器的程序描述4.8.3 选择与通断控制电路4.9 节拍器的设计4.9.1 电路设计4.9.2 程序描述4.9.3 工作原理4.1 0存储器的设计4.1 0.1 地址译码器设计4.1 0.2 存储单元设计4.1 0.3 256存储单元存储器4.1 0.4 大容量存储器设计习题四第5章 控制矩阵设计方法5.1 控制矩阵设计的基本方法5.1.1 在数据库中建表5.1.2 生成VerilogHDL程序描述5.2 模块描述生成器5.2.1 模块描述生成器的安装5.2.2 模块描述生成器的使用5.2.3 模块描述生成器的设计程序习题五第6章 计算机设计实例6.1 计算机整体设计6.1.1 计算机组成结构6.1.2 计算机功能设计目标6.1.3 确定指令系统6.2 器件设计的描述6.2.1 译码器6.2.2 节拍器6.2.3 寄存器与指针6.2.4 alu设计6.2.5 存储器的设计6.2.6 存储器部件6.3 总线结构设计6.3.1 连接存储器和运算器6.3.2 累加器.计数器连人总线6.3.3 操作数寄存器.数据寄存器.输出寄存器连人总线6.4 指令系统设计6.4.1 指令全程分析6.4.2 计算机指令全程表6.5 控制器设计6.5.1 控制矩阵设计6.5.2 组织控制器6.6 输入接口设备设计6.6.1 缓冲区接口电路6.6.2 输入接口解决的问题6.6.3 操作系统的设计6.6.4 操作系统的发展6.7 计算机总体设计6.7.1 顶层结构6.7.2 输入程序数据控制6.8 程序运行仿真6.8.1 仿真程序的输入方法6.8.2 观察仿真波形6.9 工程下载与检测6.9.1 时钟设计6.9.2 顶层文件与连接驱动程序6.9.3 检验程序执行习题六参考文献

作者简介

《EDA原理及应用》系统而又全面地介绍了基于EDA技术的数字系统设计的方法、理论和应用。全书共分13章,内容包括EDA设计导论、可编程逻辑器件设计方法、VHDL语言基础、数字逻辑单元设计、VHDL高级设计技术、基于HDL的设计输入、基于原理图的设计输入、设计综合和行为仿真、设计实现和时序仿真、设计下载和调试、数字时钟设计及实现、通用异步接收发送器、数字电压表设计及实现。《EDA原理及应用》参考了大量最新的设计资料,内容新颖,理论与应用并重,充分反映了基于EDA技术的数字系统设计的最新方法和技术,可以帮助读者尽快掌握EDA设计方法和技术。《EDA原理及应用》可作为相关专业开设EDA原理及应用课程的本科教学参考书,亦可作为从事EDA数字系统设计的相关教师、研究生和科技人员自学参考书,也可作为Xilinx公司的培训教材。

图书封面


 EDA原理及应用下载



发布书评

 
 


精彩短评 (总计2条)

  •     虽然挂这清华的名,但不失为一本破书。大量内容跟eda根本没关系。
  •     这书很好,老师推荐买的
 

外国儿童文学,篆刻,百科,生物科学,科普,初中通用,育儿亲子,美容护肤PDF图书下载,。 零度图书网 

零度图书网 @ 2024