数字系统设计与Verilog HDL

当前位置:首页 > 计算机网络 > 行业软件及应用 > 数字系统设计与Verilog HDL

出版社:电子工业出版社
出版日期:2011-1
ISBN:9787121124259
作者:王金明
页数:397页

书籍目录

第1章  EDA技术概述
1.1 EDA技术及其发展
1.2 Top-down设计与IP核复用
1.3 数字设计的流程
1.4 常用的EDA软件工具
1.5 EDA技术的发展趋势
习题1
第2章 FPGA/CPLD器件
2.1 PLD器件概述
2.2 PLD的基本原理与结构
2.3 低密度PLD的原理与结构
2.4 CPLD的原理与结构
2.5 FPGA的原理与结构
2.6 FPGA/CPLD的编程元件 2.7 边界扫描测试技术
2.8 FPGA/CPLD的编程与配置
2.9 FPGA/CPLD器件概述
2.10 FPGA/CPLD的发展趋势
习题2
第3章 Quartus Ⅱ集成开发工具
3.1 Quartus Ⅱ原理图设计
3.2 Quartus Ⅱ的优化设置
3.3 Quartus Ⅱ的时序分析
3.4 基于宏功能模块的设计 习题3
第4章 Verilog设计初步
4.1 Verilog简介
4.2 Verilog模块的结构
4.3 Verilog基本组合电路设计
4.4 Verilog基本时序电路设计
4.5 Synplify Pro综合器
4.6 Synplify综合器
习题4
第5章 Verilog语法与要素
5.1 Verilog语言要素
5.2 常量
5.3 数据类型
5.4 参数
5.5 向量 5.6 运算符
习题5
第6章 Verilog行为语句
第7章 Verilog设计的层次与风格
第8章 Verilog有限状态机设计
第9章 Verilog设计进阶
第10章 Verilog设计的优化
第11章 Verilog仿真与验证
第12章 Verilog语言的发展
第13章 通信与信号处理设计实例
附录A Verilog HDL(IEEE Std 1364—1995)关键字
附录B Verilog HDL(IEEE Std 1364—2001)关键字
附录C DE2系统介绍
附录D DE2—70系统介绍
附录E 有关术语与缩略语
参考文献

作者简介

《数字系统设计与Verilog HDL(第4版)》根据EDA课程教学要求,以提高数字设计能力为目的,系统阐述数字系统开发的相关知识,主要内容包括EDA技术、FPGA/CPLD器件、Verilog硬件描述语言等。全书以Quartus Ⅱ、Synplify Pro软件为平台,以Verilog—1995和Verilog—2001语言标准为依据,以可综合的设计为重点,通过大量经过验证的数字设计实例,系统阐述数字系统设计的方法与技术,由浅入深地介绍Verilog工程开发的知识与技能。

图书封面


 数字系统设计与Verilog HDL下载



发布书评

 
 


精彩短评 (总计5条)

  •     对于入门还不错
  •     学习FPGA比较有帮助
  •     毛大神,猫大神。。。声音控!夹夹子!呆呆傻傻萌萌
  •     缺货。。。。。。。。。。。
  •     良心教材,简单易懂,期末自学好帮手
 

外国儿童文学,篆刻,百科,生物科学,科普,初中通用,育儿亲子,美容护肤PDF图书下载,。 零度图书网 

零度图书网 @ 2024