EDA技术实用教程

当前位置:首页 > 教材 > 研究生/本专科 > EDA技术实用教程

出版社:科学出版社
出版日期:2010-7
ISBN:9787030278531
页数:400页

章节摘录

插图:不难理解,EDA技术已不是某一学科的分支,或某种新的技能技术,它应该是一门综合性学科。它融合多学科于一体,又渗透于各学科之中。它打破了软件和硬件间的壁垒,使计算机的软件技术与硬件实现、软件性能和硬件指标、设计效率和产品性能合二为一,它代表了电子设计技术和应用技术的发展方向。正因为EDA技术丰富的内容以及与电子技术各学科领域的相关性,其发展的历程同大规模集成电路设计技术、计算机辅助工程、可编程逻辑器件,以及电子设计技术和工艺的发展是同步的。就过去近30年电子技术的发展历程,大致可以将EDA技术的发展分为三个阶段。20世纪70年代,在集成电路制作方面,双极工艺、MOS工艺已得到广泛的应用。可编程逻辑技术及其器件已经问世,计算机作为一种运算工具己在科研领域得到广泛应用。而在后期,CAD的概念已见雏形。这一阶段人们开始利用计算机取代手工劳动,辅助进行集成电路版图编辑、PCB(印制电路板)布局布线等工作。20世纪80年代,集成电路设计进入了CMOS(互补场效应管)时代。复杂可编程逻辑器件已进入商业应用,相应的辅助设计软件也已投入使用。而在80年代末,出现了FPGA,于是CAE和CAD技术的应用更为广泛,它们在PCB设计方面的原理图输入、自动布局布线及PcB分析,以及逻辑设计、逻辑仿真、逻辑函数化简等方面担任了重要的角色,特别是各种硬件描述语言的出现及其在应用和标准化方面的重大进步,为电子设计自动化必须解决的电路建模、标准文档及仿真测试奠定了坚实的基础。进入20世纪90年代,随着硬件描述语言的标准化得到进一步的确立,计算机辅助工程、辅助分析和辅助设计在电子技术领域获得更加广泛的应用,与此同时电子技术在通信、计算机及家电产品生产中的市场需求和技术需求,极大地推动了全新的电子设计自动化技术的应用和发展。特别是集成电路设计工艺步入了超深亚微米阶段,近千万门的大规模可编程逻辑器件的陆续面世,以及基于计算机技术的面向用户的低成本大规模ASK:设计技术的应用,促进了EDA技术的形成和发展。更为重要的是,各EDA公司致力于推出兼容各种硬件实现方案和支持标准硬件描述语言的EDA工具软件的研究和应用,都有效地将EDA技术推向了成熟。EDA技术在进入21世纪后,得到了更大的发展,突出表现在以下几个方面:(1)使电子设计成果以自主知识产权(IP)的方式得以明确表达和确认成为可能。(2)在仿真验证和设计两方面都支持标准硬件描述语言的功能强大的EDA软件不断推出。(3)电子技术全方位进入EDA时代。除了日益成熟的数字技术外,传统的电路系统设计建模理念发生了重大的变化:软件无线电技术的崛起,模拟电路系统硬件描述语言的表达和设计的标准化,系统可编程模拟器件的出现,软硬件技术,软硬件功能及其结构的进一步融合等。

前言

在现代电子设计领域,Verilog HDL作为IEEE标准的两大主流HDL之一,相比于VHDL,具有易学易用和享有ASIC设计领域的主导地位等诸多优势。在全球范围内其用户覆盖率一直处于上升趋势。统计资料表明,Verilog HDL的行业覆盖率现已超过80%,在美国和日本则更高,己占绝对优势。例如美国使用Verilog HDL的工程师占HDL设计行业工程师的90%左右,并仍有上升趋势。由此势必导致我国Verilog HDL工程师和相关就业领域人才需求的不断增加。本书以Verilog HDL作为基本硬件描述语言来介绍EDA技术。作为教科书,与科学出版社出版的(EDA技术实用教程——NHDL版》构成了姐妹篇。为了适应EDA技术在高新技术行业的需求和高校教学的要求,突出EDA技术的实用性,以及面向工程实际的特点和学生自主创新能力的培养,作者力图将EDA技术最新的发展成果、现代电子设计最前沿的理论和技术、国际业界普遍接受和认可的EDA软硬件开发平台的实用方法,通过本书合理的综合和萃取,奉献给读者。本书所有的理论阐述和实践精解,包括示例和实验所基于的EDA软硬件平台分别是QHartus II 9.x、Synplify、ModelSim、SOPC Builder等和cyclone III系列FPGA。随着EDA技术的发展和应用领域的扩大,EDA技术在电子信息、通信、自动控制及计算机应用等领域的重要性日益突出。同时,随着技术市场与人才市场对EDA技术需求的不断提高,产品的市场效率和技术要求也必然会反映到教学和科研领域中来。以最近的十届全国大学生电子设计竞赛为例,涉及EDA技术的赛题从未缺席过。对诸如斯坦福大学、麻省理工学院等美国一些著名院校的电子与计算机实验室建设情况的调研表明,其EDA技术的教学与实践的内容也十分密集,在其本科和研究生教学中有两个明显的特点:其一,各专业中EDA教学实验课程的普及率和渗透率极高;其二,几乎所有实验项目都部分或全部地融入了EDA技术,其中包括数字电路、计算机组成与设计、计算机接口技术、数字通信技术、嵌入式系统、DSP等实验内容,并且更多地注重创新性实验。这显然是科技发展和市场需求双重影响下自然产生的结果。在业界,目前似乎有三个关键词与大学生的就业和发展关系密切,这就是数字技术、创新精神和实践能力。近年来,我国大学生特别是本科生就业形势一直难有起色,其中自有诸多因素。但有一点值得关注,即高职高专类学生和研究生的就业情况都好于本科生。其中原因十分明显,也值得深究:即社会就业市场更青睐有实践能力的人才。

书籍目录

第1章 概述第2章 FPGA/CPLD结构原理第3章 VHDL设计初步第4章 Quartus Ⅱ应用向导第5章 VHDL设计进阶第6章 宏功能模块与IP应用第7章 VHDL有限状态机设计第8章 系统优化和时序分析第9章 VHDL结构与要素第10章 VHDL基本语句第11章 系统仿真附录 EDA开发系统使用简介主要参考文献

编辑推荐

《EDA技术实用教程:Verilog HDL版(第4版)》特点:完整的知识结构,灵活的学时安排,注重创新能力的培养;基于情景导向和工作过程的教学模式,使读者快速入门;丰富的示例与习题,大量的实验与设计项目,立体化的配套教学资源。讲技术 授技能 求职就业的帮手布情景 述过程 教学改革的能手举示例 重实践 能力培养的强手

作者简介

《EDA技术实用教程:Verilog HDL版(第4版)》根据课堂教学和实验操作的要求,以提高实际工程设计能力为目的,深入浅出地对EDA技术、VerilogHDL硬件描述语言、FPGA开发应用及相关知识作了系统和完整的介绍,读者通过学习《EDA技术实用教程:Verilog HDL版(第4版)》并完成推荐的实验,能初步了解和掌握EDA的基本内容及实用技术。
全书包括EDA的基本知识、常用EDA工具的使用方法和目标器件的结构原理、以情景导向形式和实例为主的方法介绍的多种不同的设计输入方法、对Verilog的设计优化以及基于EDA技术的典型设计项目。各章都安排了习题和针对性较强的实验与设计项目。书中列举的大部分Verilog设计实例和实验示例实现的EDA工具平台是Quartus II 9.0,硬件平台是Cyclone III系列FPGA,并在EDA实验系统上通过了硬件测试。
《EDA技术实用教程:Verilog HDL版(第4版)》可作为高等院校电子工程、通信、工业自动化、计算机应用技术、电子对抗、仪器仪表、数字信号或图像处理等学科的本科生或研究生的电子设计、EDA技术和Verilog HDL硬件描述语言的教材及实验指导书,同时也可作为相关专业技术人员的自学参考书。

图书封面


 EDA技术实用教程下载



发布书评

 
 


精彩短评 (总计36条)

  •     当当网的专用快递服务很好,很用心。书本的品质有保证,让我购买很放心。
  •     Verilog入门的好书,教学方法独特,不错!!!
  •     挺不错的 喜欢
  •     不错比较满意就是有点慢
  •     还没有看,不过应该还不错吧
  •     还没细读,泛泛的翻看了一下已经很喜欢,很期待认真阅读后的效果。
  •     适合入门,如果你没有一点点基础
  •     纸质一般,内容也差不多……
  •     买完后悔了,第五版都出了,我说可以换吗?
  •     第一书的质量差,第一次收到书时,目录快掉了,我申请换货,给换了。收到换的,还是目录快掉了,让我很不爽,当当就不能发个质量好点的?就拿次品糊弄消费者?
    第二服务差,只要消费者付款后它们就是大爷。我于2013年12月12号申请换货后,几乎我每天给该网的客服打一个电话,它们有时候说需要审核,有时候说马上联系快递,尽快处理。结果到今天还没有动静,尽是一些搪塞之类的屁话!
    结论:呼吁消费者以后别和这类不良商家买东西,太操蛋!
  •     对于基础知识的加强还是很有帮组的 把例子和知识点结合起来 不错
  •     很好的书要好好阅读才能够理解
  •     这本书之前在学校图书馆里借来看过,对比了好多书,觉得这本讲的真心不错就买来了。书是正版书,但是书的目录的部分装订的不是很好~~~ 有点小遗憾。
  •     还没开始看 希望看完后有所收获
  •     例子挺多的,比较适合看
  •     实物与要求的相符,发货速度也挺快的,不错哈;;;;;;
  •     我同学在用这本书,他老师编的书
  •     办事效率不错,,,很快就到了。。
  •     质量还不错,就是薄了一点,纸质有点黄,不够亮,再便宜点就好了
  •     挺好的,虽然还没开始看呢。
  •     就是练习和实验没有源程序,要是有的话可真的是一本很好的书
  •     发货及时,迅速
  •     很好,我已选定作为下学期的教材,共八个小班,约300多人。
  •     好书啊,质量不错,印刷精美好书啊,质量不错,印刷精美~~~~!!!!!!!!!好书啊,质量不错,印刷精美~~~~!!!!!!!!!
  •     学校也是用的这个系列 不过是VHDL的 这书与KX7c5e+配合起来挺适合入门的!
  •     我是打折促销的时候买的。很不错的一本书。印刷质量一般,值得电子的学生使用。
  •     被我丢垃圾桶了
  •     还没读,不过很不错
  •     课内学了《EDA技术实用教程:VHDL版(第4版)》之后,就爱上了FPGA,于是就找上了这本书。书很新,是2013-1印刷的,唯一的缺点是切口的位置不是很平滑,还是给5星吧。
  •     书是正品 印刷得很不错 值得购买
  •     脏死了,不知在哪磨得
  •     东西不错 发货速度相当的快 就是买了三本 有两本的封面有点瑕疵
  •     很实用的,自学起来很不错哦!
  •     不错啊,哈哈
  •     虽然没有读,但是我基本上知道里面的内容,杭电的EDA系列教材我很了解,顶
  •     但是这本书我买来一直没有用过
 

外国儿童文学,篆刻,百科,生物科学,科普,初中通用,育儿亲子,美容护肤PDF图书下载,。 零度图书网 

零度图书网 @ 2024