Verilog HDL数字设计与综合

当前位置:首页 > 工业技术 > 研究生/本专科 > Verilog HDL数字设计与综合

出版社:电子工业出版社
出版日期:2004-11
ISBN:9787121004681
作者:帕尔尼卡
页数:306页

内容概要

Samir Palnitkar:毕业于印度理工学院电气工程系,获得学士学位,后来在美国西雅图的华盛顿大学电气工程系获得硕士学位,接着在美国加州圣何塞州立大学获得MBA学位。目前是美国Jambo Systems公司总裁,数字系统设计领域Verilog HDL建模、逻辑综合和基于EDA的设计方法学

书籍目录

第一部分  Verilog 基础知识  第1章  Verilog HDL数字设计综述    1.1  数字电路CAD技术的发展历史    1.2  硬件描述语言的出现    1.3  典型设计流程    1.4  硬件描述语言的意义    1.5  Verilog HDL的优点    1.6  硬件描述语言的发展趋势  第2章  层次建模的概念    2.1  设计方法学    2.2  四位脉动进位计数器    2.3  模块    2.4  模块实例    2.5  逻辑仿真的构成    2.6  举例    2.7  小结    2.8  习题  第3章  基本概念    3.1  词法约定    3.2  数据类型    3.3  系统任务和编译指令    3.4  小结      3.5  习题  第4章  模块和端口    4.1  模块    4.2  端口      4.3  层次命名    4.4  小结    4.5  习题  第5章  门级建模    5.1  门的类型    5.2  门延迟    5.3  小结    5.4  习题  第6章  数据流建模    6.1  连续赋值语句    6.2  延迟    6.3  表达式、操作符和操作数    6.4  操作符类型    6.5  举例    6.6  小结    6.7  习题  第7章  行为级建模    7.1  结构化过程语句    7.2  过程赋值语句    7.3  时序控制    7.4  条件语句    7.5  多路分支语句    7.6  循环语句    7.7  顺序块和并行块    7.8  生成块    7.9  举例    7.10  小结    7.11  习题  第8章  任务和函数    8.1  任务和函数的区别      8.2  任务    8.3  函数    8.4  小结    8.5  习题  第9章  实用建模技术    9.1  过程连续赋值    9.2  改写参数    9.3  条件编译和执行    9.4  时间尺度    ……第二部分  Verilog高级主题第三部分  附录参考文献译者后记

作者简介

Verilog HDL数字设计与综合(第二版),ISBN:9787121004681,作者:(美)帕尔尼卡(Palnitkar,S.) 著,夏宇闻 等译;夏宇闻译

图书封面


 Verilog HDL数字设计与综合下载 精选章节试读 更多精彩书评



发布书评

 
 


精彩书评 (总计2条)

  •     我觉得学习数字设计有两个思路:将数字设计的核心思路和语言混在一起学,可以考虑夏老师的高教那本书。将这两个概念分开来学。我觉得这本在verilog语言上讲解的非常清晰、简明。针对VHDL推荐Volnei A. Pedroni的那边,风格十分接近。
  •     只要有C语言和少量数电基础的就可以看得懂。适合没有Verilog基础的初学者。看过的第一本关于电子设计的书。在网上搜这本书时发现译者夏宇闻好像是EDA这方面挺有名气的一个老师。字数不够?

精彩短评 (总计50条)

  •     不是很好,写的有点粗枝大叶,后悔了。
  •     这本书非常适合初学者
  •     非常不错,值得学习
  •     适合有一定基础的人阅读,利于进一步进深学习
  •     很好很强大不太适合入门者
  •     这本书主要对硬件描述语言verilog,侧重讲述了设计和综合方面,如果能配合上内容总的还不错,要是配一套夏宇闻的数字系统设计就更好了!两本我都买了。
  •     这本书在图书馆已经看过了,觉得很不错,简单易懂。
  •     进阶的经典教材!一定要好好学习!
  •     主要从整体引导我们走入Verilog的世界!本书中的例子不多,思想深刻!
  •     还不错,比较全面,推荐入门者学习。
  •     适合于入门级的读者!理解其中的一些理念比书中的其他知识更重要!
  •     经典,,,
  •     内容比较少。
  •     上学时买的准备自学,只读了几页。现在上班了,要用到的时候边学边用,感觉这本书很有帮助。
  •     不错。
  •     还行,易读
  •     书不错,很实用,
  •     推荐
  •     帮助同学院兄弟专业这本书主要对硬件描述语言verilog,侧重讲述了设计和综合方面,如果能配合上内容总的还不错,要是配一套夏宇闻的数字系统设计就更好了!两本我都买了。买的教材,
  •     对初学者来说,真的是一个入门的好书。可以了解Verilog语言的大概。
  •     讲解配合实例,很好!值得购买,推荐!
  •     很好,很不错的,建议大家都来当当买书,服务等等都很好,能让消费者很省心.
  •     一本语法书,比夏宇闻的那本有条理多了...缺点就是太薄了,没看够啊!
  •     呵呵非常喜欢。我是个新手,但是读来也很轻松。虽然讲的不是面面俱到,但是很容易理解。时候初学者。不过书中还是有错误的地方,呵呵有待改进。
  •     正在看中。。。还可以吧
  •     这本书挺好的,一个朋友推荐!有空多番几遍,收益颇多!
  •     看完了本书,和一本英文原版对照着看的,翻译得很好,非常适合入门。
  •     看后肯定受益匪浅
  •     入门推荐
  •     夏老师的经典译作,比起同类书籍感觉更容易上手,是新手的指导书
  •     老外的小人书 总是很愉快的
  •     作为夏宇闻教材的查漏补缺
  •     经典书。可作为入门书,即学即用,不会花费太多时间。国外没有课程专门讲verilog,一般都是和逻辑设计,FPGA一起讲,而且比较重实验,这本书往往作为推荐书目。
  •     值得好好看看!!
  •     没有读过,因为自己学HDL是从Verilog开始的,其实公司里面用的都是VHDL。后来觉得可以跨步过去,就转到一本巴西人Pedroni写的VHDL上面去了。
  •     很经典的书,我买了当工具书保存
  •     数字设计最最经典的入门书,甚至是在多年工作之后,它依然可以满足我的不时之需。
  •     知识结构系统全面,适合零基础快读入门。
  •     很就没有看这方面的书了,看一下再说
  •     讲解的很到位,在实际中碰到不清楚不明白的时候,总能在这本书中找到答案。可以看出来译者对这本书理解的相当的通透,不像其他一些书,错误百出,术语全自己起名字。看的是云里雾里的。
  •     用户的角度全面阐述了VerilogHDL语言的重要细节和基本设计方法,并详细介绍了Verilog2001版的主要改进部分。本书重点关注如何应用Verilog语言进行数字电路和系统的设计和验证,而不仅仅讲解语法。全书从基本概念讲起,并逐渐过渡到编程语言接口以及逻辑综合等高级主题。书中的内容全部符合VerilogHDLIEEE1364-2001标准。本书适合电子、计算机、自动控制等专业的学习数字电路设计的大学本科高年级学生阅读,也适合数字系统设计工程师和已具有多年Verilog设计工作经验的资深工程师参考。
  •     内容总的还不错,要是配一套夏宇闻的数字系统设计就更好了!
  •     这次卖的这本书很好
  •     非常不错,浅显易懂!
  •     书的排版印刷都不错。书写语言也很流畅舒服
  •     verilog入门
  •     内容安排很好,国外的教材总是深入浅出的讲解问题,非常使用。强烈建议初学者从这本书开始学习,一定收益匪浅。涉及了最新的标准,不想国内有些书,说是讲解了最新的标准,但在讲解语法的时候还是用1995年的标准,没意思。
  •     买了才知道这是本工具书,而且里面很多都是不可综合的。唉,就用着吧,当工具书用着。呵呵
  •     内容相对于许多国内的书相比很容易理解,介绍的知识面也很宽泛!是一本不错的参考书!
  •     感觉这次购物非常满意,不管是对服务还是对货物质量!
 

外国儿童文学,篆刻,百科,生物科学,科普,初中通用,育儿亲子,美容护肤PDF图书下载,。 零度图书网 

零度图书网 @ 2024