数字系统设计及VHDL实践

出版社:机械工业
出版日期:2007-10
ISBN:9787111225065
作者:徐向民
页数:224页

书籍目录

前言基础篇第1章数字系统设计与EDA技术1.1数字系统概念1.2电子设计发展趋势1.3EDA技术介绍1.3.1基本特征1.3.2主要内容1.3.3EDA设计流程1.4EDA应用与发展趋势第2章VHDL语言基础2.1硬件描述语言特点2.2VHDL程序基本结构2.3VHDL程序主要构件2.3.1库2.3.2实体2.3.3结构体2.4VHDL数据类型2.4.1标准数据类型2.4.2用户自定义数据类型2.4.3数据类型转换2.5运算符2.5.1算术运算符2.5.2逻辑运算符2.5.3关系运算符2.5.4其他运算符2.5.5运算优先级2.6VHDL数据对象2.6.1常量2.6.2变量2.6.3信号2.6.4信号与变量的比较2.7VHDL基本语句2.7.1并行语句2.7.2顺序语句2.7.3属性描述语句2.8测试基准2.9VHDL程序的其他构件2.9.1块2.9.2函数2.9.3过程2.9.4程序包2.10结构体的描述方法第3章组合逻辑模块3.1简单组合逻辑模块3.2译码器3.3优先级编码器3.4补码器3.5三态门3.6总线缓冲器3.7多路选择器3.8全加器3.9串行进位加法器3.10并行进位加法器3.11比较器3.12只读存储器3.13随机存储器第4章同步时序电路设计4.1时序电路的特点与组成4.2设计举例——3位计数器4.3时序电路描述方法4.3.1ASM图的组成4.3.2自动售邮票机4.3.3状态分配与编码4.3.4状态最少化4.4ASM图的硬件实现4.4.1计数器法4.4.2多路选择器法4.4.3定序法4.4.4微程序法4.5有限状态机的VHDL实现4.5.1符号化状态机4.5.2单进程状态机4.5.3双进程状态机4.5.4三进程状态机4.5.5设计实例1——序列检测器4.5.6设计实例2——A/D采样控制器4.6关联状态机4.7数字系统控制器/数据处理器模型第5章基本时序逻辑电路5.1锁存器5.1.1RS锁存器5.1.2D锁存器5.2触发器5.2.1D触发器5.2.2带有Q输出的D触发器5.2.3JK触发器5.2.4T触发器5.3多位寄存器5.4串进并出型移位寄存器5.5计数器5.6无符号数乘法器第6章仿真6.1仿真的级别6.2逻辑仿真6.3延时模型第7章综合7.1综合的层次7.2高层次综合7.3寄存器传输级综合7.3.1不能综合的VHDL描述7.3.2寄存器的引入方法7.3.3避免引入不必要的寄存器7.4约束条件7.5可编程器件综合第8章数字系统设计方法8.1数字系统层次化设计8.1.1数字系统层次化结构8.1.2自顶向下设计方法8.2模块划分技术8.3设计实例——串行数据接收器8.3.1性能级设计8.3.2系统结构级设计8.3.3逻辑级设计8.3.4利用VHDL简化逻辑级设计8.3.5物理级设计8.4迭代技术8.4.1空间迭代8.4.2时间迭代8.4.3二维迭代实践篇第9章可编程逻辑器件9.1可编程逻辑器件的发展9.2PLD的分类9.2.1基于乘积项技术的PLD9.2.2基于查找表技术的PLD9.3CPLD与FPGA的比较第lO章基于CPLD/FPGA的数字系统设计10.1基于QuartusII的数字系统设计流程10.2基于CPLD/FPGA的EDA实验平台设计10.3QuartusII软件使用介绍第11章组合逻辑电路实验11.14选1多路选择器11.216位加法器设计第12章时序电路实验第13章综合性设计实验13.1三人抢答器13.1.1设计要求13.1.2设计分析与设计思路13.1.3各模块的没计与实现13.1.4整体设计13.1.5波形仿真与分析13.1.6思考题13.2出租车计费实验13.2.1设计要求13.2.2设计分析与设计思路13.2.3系统的设计与实现13.2.4波形仿真与分析13.2.5思考题13.3红外遥控器13.3.1设计要求13.3.2设计分析与设计思路13.3.3各模块的设计与实现13.3.4整体设计13.3.5波形仿真与分析13.3.6硬件配置13.3.7思考题参考文献

编辑推荐

本书系统地介绍了数字系统描述的硬件语言与设计方法,从理论、方法、工具,到实践进行了全面的阐述。全书分两篇:基础篇和实践篇。基础篇,共有8章。第1章介绍了数字系统的基本概念及EDA技术的发展趋势;第2、3章结合大量实例,介绍了硬件描述语言VHDI;第4、5章介绍了基于ASM图的时序电路设计方法及状态机的V}IDI.实现;第6、7章介绍了有关仿真和综合的知识;第3章结合设计实例,介绍了数字系统设计方法的原理和具体的应用。实践篇共有5章,从实践的角度,由浅入深,结合自主开发的EDA实验平台,从设计描述到下载对数字系统的整个设计流程进行了详细的讲解。本书兼具知识性和实用性。本书可作为大专院校电子类高年级本科生和研究生学习数字系统设计的教科书和参考书,也可作为这一领域工程技术人员的参考书。

作者简介

本书系统地介绍了数字系统描述的硬件语言与设计方法,从理论、方法、工具,到实践进行了全面的阐述。全书分两篇:基础篇和实践篇。基础篇,共有8章。第1章介绍了数字系统的基本概念及EDA技术的发展趋势;第2、3章结合大量实例,介绍了硬件描述语言VHDL;第4、5章介绍了基于ASM图的时序电路设计方法及状态机的VHDL实现;第6、7章介绍了有关仿真和综合的知识;第8章结合设计实例,介绍了数字系统设计方法的原理和具体的应用。实践篇共有5章,从实践的角度,由浅人深,结合自主开发的EDA实验平台,从设计描述到下载对数字系统的整个设计流程进行了详细的讲解。本书兼具知识性和实用性。

本书可作为大专院校电子类高年级本科生和研究生学习数字系统设计的教科书和参考书,也可作为这一领域工程技术人员的参考书。

图书封面


 数字系统设计及VHDL实践下载



发布书评

 
 


精彩短评 (总计3条)

  •     书都印偏了。。。直接向右偏了很多。。。有点不爽
  •     背面有刮痕,封面也有点脏,页脚像沾过水,有点皱
  •     烂书,里头很多地方写的都很不详细,不知道他说什么!
 

外国儿童文学,篆刻,百科,生物科学,科普,初中通用,育儿亲子,美容护肤PDF图书下载,。 零度图书网 

零度图书网 @ 2024