基于Xilinx ISE 的FPAG/CPLD设计与应用

出版社:电子工业
出版日期:2009-8
ISBN:9787121093593
作者:谈世哲//李健//管殿柱
页数:235页

章节摘录

  第1章 聚焦Xilinx ISE  本章首先介绍了Xilinx公司及其产品的基本情况,并在此基础上描述了CPLD和FPGA的内部结构及基本原理,这将有助于系统设计者深入理解ISE软件的设计过程;其次,本章介绍了VHDL硬件描述语言基础知识;最后,详细地讲解了设计软件ISE的安装过程及其主操作界面。  1.1 Xilinx公司及其产品介绍  总部设在加利福尼亚圣何塞市(San Jose)的Xilinx是全球领先的可编程逻辑解决方案的供应商,图1-1为公司标志。Xilinx公司的业务是研发、制造并销售高级集成电路、软件设计工具以及作为预定义系统级功能的IP(Intellectual Property)核,其相关产品在全球占有大量的份额,客户通过使用Xilinx及其合作伙伴的自动化设计软件和IP核,进行器件编程及设计的工作,最终实现特定的逻辑功能。  1.1.1 Xilinx公司简介  Xilinx公司成立于1984年,它首创了现场可编程逻辑阵列(FPGA)这一创新性的技术,并于l985年首次推出商业化产品。目前Xilinx满足了全世界对FPGA产品一半以上的需求。Xilinx产品线还包括复杂可编程逻辑器件(CPLD)。Xilinx可编程逻辑解决方案缩短了电子设备制造商开发产品的时间并加快了产品面市的速度,从而减小了制造商的风险。与采用传统方法,如固定逻辑门阵列相比,利用Xilinx可编程器件,客户可以更快地设计和验证他们的电路。而且,由于Xilinx器件是只需要进行编程的标准部件,客户不需要像采用固定逻辑芯片时那样等待样品或者付出巨额成本。Xilinx产品已经被广泛应用于从无线电话基站到DVD播放机的数字电子应用技术中。  作为一个可编程逻辑器件的供应商,Xilinx有自己的开发套件,至今已经形成了一个完整的整体。

书籍目录

第1章  聚焦Xilinx ISE  1.1  Xilinx公司及其产品介绍    1.1.1  Xilinx公司简介    1.1.2  几种CPLD系列芯片的特点    1.1.3  CoolRunnei系列的高级特性    1.1.4  主流FPGA产品  1.2  FPGA/CPLD基本结构与实现原理    1.2.1  FPGA基本结构与实现原理    1.2.2  CPLD基本结构与实现原理    1.2.3  FPGA/CPLD性能特点差异  1.3  系统设计语言——VHDL基本概念与程序结构    1.3.1  概述    1.3.2  VHDL程序基本结构  1.4  HDL编码风格及规则    1.4.1  编码风格    1.4.2  HDL编码指导  1.5  ISE基本操作    1.5.1  ISE的获取    1.5.2  ISE的实现功能    1.5.3  ISE软件运行硬件环境及安装    1.5.4  ISE运行及主界面简介  1.6  本章小结  1.7  思考与练习第2章  基于VHDL语言的设计输入  2.1  新建工程  2.2  手动新建源代码  2.3  利用语言模板创建源代码  2.4  本章小结  2.5  思考与练习第3章  设计仿真  3.1  仿真基本概念    3.1.1  仿真类型    3.1.2  仿真的步骤  3.2  创建测试基准波形文件  3.3  使用Modelsim进行仿真    3.3.1  ModelSim仿真窗口综述    3.3.2  在ISE集成环境中进行功能仿真    3.3.3  利用ModelSim进行时序仿真  3.4  本章小结  3.5  思考与练习第4章  基于原理图与状态机的输入  4.1  原理图设计概述    4.1.1  顶层原理图设计方法    4.1.2  底层原理图设计方式  4.2  利用原理图的设计方法    4.2.1  自顶向下的原理图设计方法    4.2.2  自底向上的原理图设计方法  4.3  实例化计数器    4.3.1  例化VHDL模块    4.3.2  进行原理图连线    4.3.3  给连线添加网络名    4.3.4  给总线添加网络名    4.3.5  添加I/O引脚标记  4.4  状态机输入工具——StateCAD    4.4.1  StateCAD简介    4.4.2  StateCAD用户界面    4.4.3  使用StateCAD设计状态机  4.5  本章小结  4.6  思考与练习第5章  综合与设计实现  5.1  XST概述    5.1.1  XST属性描述及设置方法    5.1.2  XST操作流程  5.2  设计实现    5.2.1  CPLD的设计实现    5.2.2  FPGA的设计实现  5.3  约束    5.3.1  创建UCF文件    5.3.2  UCF文件的语法说明    5.3.3  引脚和区域约束语法    5.3.4  PACE  5.4  IP Core简介    5.4.1  Xilinx IP Core基本操作    5.4.2  DDS模块IP Core的调用实例  5.5  本章小结  5.6  思考与练习第6章  功耗分析与FPGA/CPLD配置  6.1  功耗评估工具——XPower    6.1.1  概述    6.1.2  XPower操作界面    6.1.3  功耗分析  6.2  基于ISE的硬件编程    6.2.1  iMPACT的用户界面    6.2.2  利用iMPACT进行程序下载  6.3  本章小结  6.4  思考与练习第7章  应用实例  7.1  VHDL数字逻辑电路设计试验  7.2  实例一:模可变16位加法计数器  7.3  实例二:多倍次分频器  7.4  实例三:奇偶校验  7.5  实例四:数字频率计VHDL程序与仿真  7.6  实例五:UART VHDL程序与仿真  7.7  实例六:电子时钟VHDL程序与仿真  7.8  本章小结  7.9  思考与练习参考文献

作者简介

FPGA/CPLD以其强大的功能,开发过程短,投资少,可反复修改,保密性好,开发工具智能化等特点,成为当今硬件设计的首选方式之一。Xilinx ISE是由顶级FPGA供应商Xilinx提供的高级FPGA/CPLD设计环境,为所有Xilinx的FPGA与CPLD提供支持。《基于Xilinx ISE 的FPAG/CPLD设计与应用》旨在向读者介绍ISE强大的开发功能,通过实例来强化读者的理解和使用。《基于Xilinx ISE 的FPAG/CPLD设计与应用》立足工程实践,循序渐进地介绍了Xilinx ISE开发的基本过程和方法,内容翔实、系统、全面,并通过大量的工程实例说明软件的功能和应用方法。
《基于Xilinx ISE 的FPAG/CPLD设计与应用》图文并茂,讲解深入浅出,通俗易懂。《基于Xilinx ISE 的FPAG/CPLD设计与应用》适合从事FPGA/CPLD设计开发的技术人员阅读,也可作为高等学校相关专业的教学用书。

图书封面


 基于Xilinx ISE 的FPAG/CPLD设计与应用下载



发布书评

 
 


 

外国儿童文学,篆刻,百科,生物科学,科普,初中通用,育儿亲子,美容护肤PDF图书下载,。 零度图书网 

零度图书网 @ 2024