EDA技术与应用

出版社:电子工业出版社
出版日期:2013-1
ISBN:9787121193156
作者:江国强
页数:318页

书籍目录

第1章  EDA技术概述 11.1  EDA技术及发展 11.2  EDA设计流程 21.2.1  设计准备 21.2.2  设计输入 31.2.3  设计处理 31.2.4  设计校验 41.2.5  器件编程 41.2.6  器件测试和设计验证 51.3  硬件描述语言 51.3.1  VHDL 51.3.2  Verilog HDL 61.3.3  AHDL 61.4  可编程逻辑器件 61.5  常用EDA工具 71.5.1  设计输入编辑器 71.5.2  仿真器 81.5.3  HDL综合器 81.5.4  适配器(布局布线器) 81.5.5  下载器(编程器) 9本章小结 9思考题和习题 9第2章  EDA工具软件的使用方法 102.1  Quartus II软件的主界面 102.2  Quartus II的图形编辑输入法 122.2.1  编辑输入图形设计文件 122.2.2  编译设计文件 162.2.3  仿真设计文件 182.2.4  编程下载设计文件 222.3  Quartus II宏功能模块的使用方法 282.3.1  设计原理 282.3.2  编辑输入顶层设计文件 282.3.3  仿真顶层设计文件 342.3.4  图形文件的转换 352.4  嵌入式逻辑分析仪的使用方法 372.4.1  打开SignalTap II编辑窗口 372.4.2  调入节点信号 382.4.3  参数设置 392.4.4  文件存盘 392.4.5  编译与下载 392.4.6  运行分析 392.5  嵌入式锁相环的设计方法 402.5.1  嵌入式锁相环的设计 402.5.2  嵌入式锁相环的仿真 432.5.3  使用嵌入式逻辑分析仪观察嵌入式锁相环的设计结果 432.6  设计优化 442.6.1  面积与速度的优化 442.6.2  时序约束与选项设置 452.6.3  Fitter设置 452.7  Quartus II的RTL阅读器 45本章小结 46思考题和习题 47第3章  VHDL 483.1  VHDL设计实体的基本结构 483.1.1  库、程序包 493.1.2  实体 493.1.3  结构体 503.1.4  配置 503.1.5  基本逻辑器件的VHDL描述 513.2  VHDL语言要素 543.2.1  VHDL文字规则 543.2.2  VHDL数据对象 563.2.3  VHDL数据类型 573.2.4  VHDL的预定义数据类型 573.2.5  IEEE预定义的标准逻辑位和矢量 593.2.6  用户自定义数据类型方式 593.2.7  VHDL操作符 593.2.8  VHDL的属性 623.3  VHDL的顺序语句 633.3.1  赋值语句 643.3.2  流程控制语句 643.3.3  WAIT语句 703.3.4  ASSERT(断言)语句 713.3.5  NULL(空操作)语句 713.4  并行语句 713.4.1  PROCESS(进程)语句 723.4.2  块语句 733.4.3  并行信号赋值语句 743.4.4  子程序和并行过程调用语句 763.4.5  元件例化(COMPONENT)语句 783.4.6  生成语句 803.5  VHDL的库和程序包 823.5.1  VHDL库 823.5.2  VHDL程序包 833.6  VHDL设计流程 843.6.1  编辑VHDL源程序 843.6.2  设计8位计数显示译码电路顶层文件 863.6.3  编译顶层设计文件 873.6.4  仿真顶层设计文件 873.6.5  下载顶层设计文件 883.7   VHDL仿真 883.7.1  VHDL仿真支持语句 883.7.2  VHDL测试平台软件的设计 90本章小结 94思考题和习题 94第4章  Verilog HDL 974.1  Verilog HDL设计模块的基本结构 974.1.1  模块端口定义 974.1.2  模块内容 984.2  Verilog HDL的词法 1004.2.1  空白符和注释 1004.2.2  常数 1004.2.3  字符串 1014.2.4  关键词 1014.2.5  标识符 1014.2.6  操作符 1014.2.7  Verilog HDL数据对象 1054.3  Verilog HDL的语句 1074.3.1  赋值语句 1074.3.2  条件语句 1084.3.3  循环语句 1114.3.4  结构声明语句 1134.3.5  语句的顺序执行与并行执行 1164.4  不同抽象级别的Verilog HDL模型 1174.4.1  Verilog HDL的门级描述 1184.4.2  Verilog HDL的行为级描述 1184.4.3  用结构描述实现电路系统设计 1204.5  Verilog HDL设计流程 1224.5.1  编辑Verilog HDL源程序 1224.5.2  设计BCD加法器电路顶层文件 1244.5.3  编译顶层设计文件 1254.5.4  仿真顶层设计文件 1254.5.5  下载顶层设计文件 1254.6  Verilog HDL仿真 1254.6.1  Verilog HDL仿真支持语句 1254.6.2  Verilog HDL测试平台软件的设计 128本章小结 131思考题和习题 132第5章  常用EDA工具软件 1345.1  ModelSim 1345.1.1  ModelSim的图形用户交互方式 1345.1.2  ModelSim的交互命令方式 1385.1.3  ModelSim的批处理工作方式 1405.1.4  ModelSim与Quartus II的接口 1415.2  Quartus II 9.0软件的使用方法 1425.2.1  Quartus II软件的安装 1425.2.2  Quartus II软件的主界面 1445.2.3  Quartus II的仿真方法 1455.2.4  Quartus II宏功能模块的使用方法 1495.2.5  在Quartus II 9.0中使用ModelSim仿真 1515.3  基于Matlab/DSP Builder的DSP模块设计 1565.3.1  设计原理 1575.3.2  建立Matlab设计模型 1575.3.3  Matlab模型仿真 1625.3.4  Signal Compiler使用方法 1645.3.5  使用ModelSim仿真 1665.3.6  硬件实现与测试 1675.3.7  DSP Builder的层次设计 1695.4  Nios II嵌入式系统开发软件 1695.4.1  Nios II的硬件开发 1705.4.2  调整SDRAM地址 1775.4.3  生成Nios II硬件系统 1775.4.4  Nios II系统的调试 1935.4.5  Nios II的常用组件与编程 1985.4.6  SOPC的应用 2065.5  Qsys系统集成软件 2165.5.1  Qsys的硬件开发 2165.5.2  Qsys系统的编译与下载 2205.5.3  Qsys系统的调试 222本章小结 224思考题和习题 225第6章  可编程逻辑器件 2266.1  PLD的基本原理 2266.1.1  PLD的分类 2266.1.2  阵列型PLD 2296.1.3  现场可编程门阵列FPGA 2326.1.4  基于查找表(LUT)的结构 2346.2  PLD的设计技术 2366.2.1  PLD的设计方法 2366.2.2  在系统可编程技术 2376.2.3  边界扫描技术 2406.3  PLD的编程与配置 2406.3.1  CPLD的ISP方式编程 2416.3.2  使用PC的并口配置FPGA 2416.4  Altera公司的PLD系列产品简介 2436.4.1  Altera高端Stratix FPGA系列 2436.4.2  Altera中端FPGA的Arria系列 2446.4.3  Altera低成本FPGA的Cyclone系列 2456.4.4  Altera低成本CPLD的MAX系列 2456.4.5  Altera硬件拷贝HardCopy ASIC系列 246本章小结 246思考题和习题 247第7章  EDA技术的应用 2487.1  组合逻辑电路设计应用 2487.1.1  运算电路设计 2487.1.2  编码器设计 2497.1.3  译码器设计 2517.1.4  数据选择器设计 2537.1.5  数据比较器设计 2547.1.6  ROM的设计 2567.2  时序逻辑电路设计应用 2587.2.1  触发器设计 2587.2.2  锁存器设计 2607.2.3  移位寄存器设计 2617.2.4  计数器设计 2637.2.5  随机读写存储器RAM的设计 2657.3  基于EDA的数字系统设计 2677.3.1  计时器的设计 2677.3.2  万年历的设计 2717.3.3  8位十进制频率计设计 275本章小结 281思考题和习题 282附录A  EDA6000实验开发系统 284A.1  EDA6000的特点 284A.2  EDA6000的使用方法 285A.2.1  EDA6000的硬件结构 285A.2.2  EDA6000软件平台的使用方法 288附录B  Altera DE2开发板使用方法 298B.1  Altera DE2开发板的结构 298B.2  DE2开发板的实验模式与目标芯片的引脚连接 298B.3  DE2开发板实验的操作 303B.3.1  编辑 303B.3.2  编译 306B.3.3  仿真 306B.3.4  引脚锁定 306B.3.5  编程下载 307B.3.6  硬件验证 308B.4  DE2开发板的控制嵌板 308B.4.1  打开控制嵌板 308B.4.2  设备检测 308附录C  Quartus II的宏函数和强函数 310C.1  宏函数 310C.2  强函数 316参考文献 318

编辑推荐

《EDA技术与应用(第4版电子信息科学与工程类专业规划教材普通高等教育十二五规划教材)》编著者江国强。    EDA是电子设计自动化(Electronic Design Automation)的缩写,在20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT‘)和计算机辅助工程(CAE)的概念发展而来的。EDA技术是以计算机为工具,设计者在EDA软件平台上,用硬件描述语言(HDI.)完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线、仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。EDA技术的出现,极大地提高了电路设计的效率和可靠性,减轻了设计者的劳动强度。

作者简介

《EDA技术与应用(第4版电子信息科学与工程类专业规划教材普通高等教育十二五规划教材)》编著者江国强。
本书分为7章,包括EDA技术概述、EDA工具软件的使用方法、VHDL、Verilog HDL、常用EDA工具软件、可编程逻辑器件和EDA技术的应用。另外,附录部分介绍了伟福EDA6000和友晶DE2等,EDA实验开发系统的使用方法,供具有不同实验设备的读者学习或参考。
EDA是当今世界上最先进的电子电路设计技术,它的重要作用逐步被我国的产业界、科技界和教育界认可。本书可作为高等院校工科电子类、通信信息类、自动化类专业“EDA技术”课程的教材,也可供相关专’业的技术人员参考。


 EDA技术与应用下载



发布书评

 
 


精彩短评 (总计1条)

  •     还行,书市最新出的,但是具体内容怎么样没法评价,我没看完
 

外国儿童文学,篆刻,百科,生物科学,科普,初中通用,育儿亲子,美容护肤PDF图书下载,。 零度图书网 

零度图书网 @ 2024